News

Xilinx Vitis AI SDK for inferencing

Automatically complies to FPGA

Jon Peddie

Xilinx announced at its Beijing Developer Forum that its new AI inference development platform, Vitis AI is available for download free of charge.
Combined with the Vitis unified software platform, Vitis AI, says Xilinx, empowers software developers with deep learning acceleration, as part of their software code. Vitis AI integrates a domain-specific architecture (DSA) and configures Xilinx hardware to be optimized and programmed using frameworks like TensorFlow and Caffe. It provides the tools to optimize, compress and compile trained AI models running on a Xilinx device in as little as one minute, says the company. Xilinx has also made its Vitis accelerated libraries and Vitis AI models open source, as well as corresponding example designs that can be used from an endpoint to edge in addition to cloud platforms.

At the Xilinx Developer Forum (XDF) Americas in early October, Xilinx unveiled Vitis (pronounced Vī-tis), a unified software platform that enables a broad new range of developers—including software engineers and AI scientists.

Vitis AI is Xilinx’s development platform for AI inference on Xilinx hardware platforms, including both edge devices and Alveo cards. Unleash the full potential of AI acceleration on Xilinx FPGAs, SoCs, and ACAPs!

  • Supports mainstream frameworks and the latest models capable of diverse deep learning tasks
  • Includes a comprehensive set of pre-optimized models in Vitis that are ready to deploy on Xilinx devices
  • Provides a powerful quantizer and compiler which can implement models on Xilinx platforms in minutes with productivity in mind
  • Delivers layer-by-layer analysis to help with performance analysis and bottleneck identification
  • Offers high-level C++ and Python APIs for easy deployment from edge to cloud and optimized functions for end-to-end acceleration
  • Provides efficient and scalable DPU IP cores, can be customized to meet your needs for many different applications from a throughput, latency, and power perspective

The company says it has invested Five years and a total of 1,000 man-years in the making. Vitis automatically tailors the Xilinx hardware architecture to the software or algorithmic code without the need for hardware expertise. Rather than imposing a proprietary development environment, the Vitis platform plugs into common software developer tools and utilizes a rich set of optimized open-source libraries, enabling developers to focus on their algorithms.

Vitis is separate to the Vivado Design Suite, which will still be supported for those who want to program using hardware code, but the new platform can also boost the productivity of hardware developers by packaging hardware modules as software-callable functions.

The Xilinx developer site provides easy access to examples, tutorials, and documentation, as well as a portal to connect the Vitis and Vitis AI developer community.